面试:IC验证工程师。给人感觉很亲切,面试问题倒不难,告知通过了。

看准网
  •       找实习的时候,他们公司给我打过电话面,问题都比较基础,面试官人很好,会提示,一点点加深难度,最后也给了一些建议。今天做了笔试题,90分钟,手撕代码较多,觉得时间有点不够。
  •       1、总线有106根线,其中数据线有16根,系统时钟33Mhz ,求理论最高传输速度多少 MB/s
  •       2、c语言实现,定义一个 int 类型5行4列的数组。
  •       3、指针操作> ,=,<=,==在任何时候都能进行,对不对?错在哪
  •       4、c语言 Switch()语句,输入变量类型不能是什么?
  •       5、一个 SOC 包括 CPU,ROM,RAM ,串口,中断服务器,看门狗。①画出 SOC 框图 ②用 Verilog 描绘 SOC 出第一层的结构,需写明信号传递方向 ③列出不少于10条 test case 。后面还有3问,忘记了。这个题很懵。
  •       6、一个0101数据流,检测序列01101,当出现时,输出1,否则输出0。画出状态机,Verilog 实现这个状态机的功能。
  •       7、在给定的时序图上画出 setup time和 hold time
  •       8、Verilog 实现异步D触发器
  •       9、用握手的方法消除两极寄存器的跨时钟域传输,手撕 Verilog
  •       10、用 sv 实现,在 task 中同时运行三个已经定义的进程,其中任何一个进程结束时,打印 DONE 并退出 task ,分别用 fork-join,fork-joinany,fork-joinnone 实现